EDA综合结业作业(4输入数据比较器)_4位数据比较器
EDA综合结业作业(4输入数据比较器)由刀豆文库小编整理,希望给你工作、学习、生活带来方便,猜你可能喜欢“4位数据比较器”。
EDA综合作业-2011-2012学年第二学期
EDA综合作业
姓名:
xxx
学号:
xxxxxxxxx
班级:
10通信工程
成绩:
题目四:设计一个4位输入数据的数据比较器,并仿真验证其功能。(实验2组后20名)
(要求:输入二个4位的矢量数值,逐位进行比较,用三个不同的信号表示大于、等于和小于的关系)
1、设计思路
2、VHDL程序(或原理图)
3、仿真波形(图片)
4、程序分析
一、设计思路
题目要求实现的时两个二位四进制数值的比较,则在程序中用到两个数值的输入端口一共8个,所以设定输入的两个4位二进制数值分别为a:a3a2a1a0和b:b3b2b1b0其中a3、a2、a1、a0、b3、b2、b1、b0分别为输入端口
数值大小比较的结果有三种,所以设定输出为X,Y,Z
当a>b时用X的高电平表示
当a
二、VHDL程序如下 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity compare is
EDA综合作业-2011-2012学年第二学期
port(a: in std_logic_vector(3 downto 0);
b: in std_logic_vector(3 downto 0);
X,Y,Z: out std_logic);end compare;architecture behave of compare is
begin
proce(a,b)
begin
if(a > b)then
elsif(a
ELSE
end if;
end proce;
end behave;
X
X
Y
Z
X
Y
Z
EDA综合作业-2011-2012学年第二学期
三、仿真波形如下
四、程序分析
分别从a、b输入两个二位四进制数值,a3、a2、a1、a0,b3、b2、b1、b0,由比较器比较大小,当a>b时X输出高电平,当a
上面的仿真波形是给a、b随机加上时钟用高低电平表示1和0然后进行仿真实验,通过对仿真输出的X、Y、Z的高低电平分析,该程序能够实现题目要求的两个二位四进制数值的比较。
作业讲评4一、填空题(每题2分,共20分)1.美国小学校长是学校的行政首长,向【学监】负责,执行学区理事会的有关决议及管理学校日常行政事务。2.美国小学一般不大重视【天才儿童教育......
宪法学形成性考核册参考答案宪法学作业4(综合)一、填空题(每小题1分,共14分)1、英国不成文宪法的表现形式主要有宪法性文件、宪法性惯例、宪法性判例、权威学者的著述。2、我国的......
三、数据库建立与数据输入——VFP最常用命令应用(应用性实验)1、本次实验目的管理信息系统是实现组织信息化的保障体系,建设管理信息系统是一个组织信息化建设的重要内容。在管......
中央电大 作业 (任务4) 财务报表分析 万科A 综合分析 计算版
上图为2008年万科A公司杜邦分析图,若该图无法显示请看下列数据: 万科A公司2008年杜邦分析:净利润=营业总收入-营业成本总额+非经常性损益-所得税费用=40,991,779,214.96-34,855......
